Welcome![Sign In][Sign Up]
Location:
Search - vhdl clock

Search list

[Other resourceVHDL-Clock

Description: 用VHDL语言写的时钟程序。采用模块化编程。可在EPM7128芯片上下载。编译环境可用Maxplus或Quartus。-write VHDL clock procedures. Modular programming. The EPM7128 chips download. Build environment or Quartus Maxplus available.
Platform: | Size: 4347 | Author: 单单 | Hits:

[VHDL-FPGA-Verilog电子钟clock

Description: 用VHDL语言来实现一个电子时钟,可以调时间。小时,分,秒。可以下载到实验箱来运行验证。-use VHDL to achieve an electronic clock, the time can be set aside. Hours, minutes and seconds. Experiments can be downloaded to the box to run test.
Platform: | Size: 353280 | Author: 刘卫 | Hits:

[VHDL-FPGA-Verilogvhdl

Description: RS232数据发送器,适合于VHDL的初学者参考-RS232 data transmitter, suitable for beginners VHDL reference
Platform: | Size: 4096 | Author: 波波 | Hits:

[VHDL-FPGA-VerilogVHDL-Clock

Description: 用VHDL语言写的时钟程序。采用模块化编程。可在EPM7128芯片上下载。编译环境可用Maxplus或Quartus。-write VHDL clock procedures. Modular programming. The EPM7128 chips download. Build environment or Quartus Maxplus available.
Platform: | Size: 4096 | Author: 单单 | Hits:

[VHDL-FPGA-VerilogExp4-Clock

Description: 数字计时器,使用VHDL语言编写,使用数码管显示,精确到ms-digital timer, the use of VHDL development, the use of digital control, the precision of the ms
Platform: | Size: 808960 | Author: 萧飒 | Hits:

[OtherVHDL-FPGA-clock

Description: FPGA数字钟的设计,用VHDL语言编程,max+plus仿真,可在实际电路中验证-FPGA design, VHDL programming, max plus simulation, in the actual circuit verification
Platform: | Size: 269312 | Author: 王越 | Hits:

[VHDL-FPGA-Verilogclock

Description: vhdl经典源代码——时钟设计,入门者必须掌握-vhdl classical source code-- Clock Design, beginners must master
Platform: | Size: 1014784 | Author: jeffery | Hits:

[Software Engineeringclock

Description: 基于vhdl的数字钟 有闹钟,秒表,时钟,日期等功能 秒表可以开始,暂停,清零, 时钟可以设置时间, 还可以设置日期-VHDL based on the digital clock has an alarm clock, stopwatch, clock, date, stopwatch functions can start, pause, cleared, the clock can be set-up times, you can set the date
Platform: | Size: 3072 | Author: 张廷 | Hits:

[VHDL-FPGA-Verilogclock

Description: 这是一个实现时分秒的时钟功能的源码,采用vhdl语言编写,已写好led驱动,可直接在数码管上显示-Realize this is an accurate clock function when the source code, the use of VHDL language has been written led drive directly in the digital tube display
Platform: | Size: 246784 | Author: xiaoshuai | Hits:

[VHDL-FPGA-Verilogclock

Description: 数字钟的VHDL源程序,可实现整点报时、闹钟的功能,还有常有星期的显示,已调试过-Digital Clock in VHDL source code, enabling the whole point timekeeping, alarm clock function, there are often weeks of shows that have been debug
Platform: | Size: 1339392 | Author: 玉峰 | Hits:

[VHDL-FPGA-VerilogCLOCK

Description: 可以调整时间和设置闹钟的数字钟(VHDL)-Can adjust the time and set the digital clock alarm clock (VHDL)
Platform: | Size: 906240 | Author: iyoung | Hits:

[VHDL-FPGA-Verilogclock

Description: 数字钟的程序,功能说明如下所示: 1.完成秒/分/时的依次显示并正确计数; 2.秒/分/时各段个位满10正确进位,秒/分能做到满60向前进位; 3.定时闹钟:实现整点报时,通过语音设备来实现具体的报时; 4.时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整 5.可以选择使用12进制计时或者24进制计时。 使用QuartusII6.0编译仿真通过,语言使用的是VHDL,可以方便的移植到其他的平台上面。 -Digital clock procedures, functional description is as follows: 1. Completed sec/min/h and the sequence shows the correct count 2. Sec/min/h in the paragraphs of the correct 10-bit full binary, seconds/minutes to achieve the age of 60 to the forward position 3. regular alarm clock: realize the whole point of time, through the voice equipment to realize specific time 4. time settings, which is manually adjusted when the function: When the clock does not consider accurate, they can respectively sub/clock adjust 5. can choose to use 12 or 24 hexadecimal hexadecimal time time. QuartusII6.0 simulation through the use of compiler, language used is VHDL, can be easily ported to other platforms above.
Platform: | Size: 232448 | Author: 余宾客 | Hits:

[VHDL-FPGA-Verilogcpld-clock

Description: VHDL语言编写的时钟显示代码,简短而又易懂,个人觉得很不错-VHDL language code of the clock display, the short and easy-to-understand, personal feel very good
Platform: | Size: 1024 | Author: 王盗大 | Hits:

[VHDL-FPGA-Verilogclock

Description: 自己编写的一个verilog时钟程序,在xilinx的ISE仿真通过-I have written a Verilog clock procedures, in Xilinx s ISE simulation through
Platform: | Size: 327680 | Author: lg | Hits:

[VHDL-FPGA-Verilogclock

Description: 两个按键控制校时的VHDL时钟源码,带定时闹钟和日历功能-Two buttons control the school at the time of VHDL source clock, alarm clock and calendar with timing function
Platform: | Size: 2048 | Author: liu | Hits:

[VHDL-FPGA-Verilogclock

Description: 数字钟设计,有分秒显示,上下午显示,可下载到FPGA板子上进行数字显示哦-Digital clock design, there are minutes and seconds display, on the afternoon of shows can be downloaded to the FPGA on the board figures show Oh
Platform: | Size: 3072 | Author: 幸福 | Hits:

[assembly languageclock

Description: 描述了24小时计时的数字钟,同时具有分秒计时的功能-Described a 24-hour digital time clock, at the same time every minute timer function
Platform: | Size: 11264 | Author: 金珊珊 | Hits:

[VHDL-FPGA-Verilogclock

Description: 用高速硬件语言VHDL设计的全功能数字钟,经测试运行稳定-VHDL language used high-speed hardware design full-function digital clock, tested and stable operation
Platform: | Size: 2048 | Author: 李鑫 | Hits:

[VHDL-FPGA-Verilogclock

Description: 时钟的vhdl实现,具有打铃等功能,是一个很好的实现,我们做电子竞赛的源代码-VHDL clock to achieve a play-ling and other functions, is a good realization, we have the source code of the electronic competition
Platform: | Size: 1024 | Author: 王培新 | Hits:

[VHDL-FPGA-Verilogvhdl-clock

Description: 数字时钟的VHDL课程设计 涉及到的几个要点有 分频模块 时分秒模块 扫描模块 显示模块-Digital Clock Design of VHDL course of a few key points related to one of those who every minute frequency module module module module scan
Platform: | Size: 106496 | Author: li | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net